summaryrefslogtreecommitdiffstats
path: root/lab4/VGA_MOTOR/VGA_lab.vhd
diff options
context:
space:
mode:
authorGustav Sörnäs <gusso230@student.liu.se>2022-02-18 09:47:48 +0100
committerGustav Sörnäs <gusso230@student.liu.se>2022-02-18 09:47:48 +0100
commit320ad28a656ffc66c2ecb5c81f3249d3bb5898a1 (patch)
tree383af9181180e14c3bf82eabe0fce40c23624b9e /lab4/VGA_MOTOR/VGA_lab.vhd
parent22ee9c87ad40bf5f950284e959cf6af76de92306 (diff)
downloadtsea83-320ad28a656ffc66c2ecb5c81f3249d3bb5898a1.tar.gz
upg1
Diffstat (limited to 'lab4/VGA_MOTOR/VGA_lab.vhd')
-rw-r--r--lab4/VGA_MOTOR/VGA_lab.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/lab4/VGA_MOTOR/VGA_lab.vhd b/lab4/VGA_MOTOR/VGA_lab.vhd
index 317ff84..a23187f 100644
--- a/lab4/VGA_MOTOR/VGA_lab.vhd
+++ b/lab4/VGA_MOTOR/VGA_lab.vhd
@@ -17,9 +17,9 @@ entity VGA_lab is
rst : in std_logic; -- reset
Hsync : out std_logic; -- horizontal sync
Vsync : out std_logic; -- vertical sync
- vgaRed : out std_logic_vector(2 downto 0); -- VGA red
+ vgaRed : out std_logic_vector(2 downto 0); -- VGA red
vgaGreen : out std_logic_vector(2 downto 0); -- VGA green
- vgaBlue : out std_logic_vector(2 downto 1); -- VGA blue
+ vgaBlue : out std_logic_vector(2 downto 1)); -- VGA blue
end VGA_lab;
@@ -51,7 +51,7 @@ architecture Behavioral of VGA_lab is
vgaGreen : out std_logic_vector(2 downto 0); -- VGA green
vgaBlue : out std_logic_vector(2 downto 1); -- VGA blue
Hsync : out std_logic; -- horizontal sync
- Vsync : out std_logic); -- vertical sync
+ Vsync : out std_logic); -- vertical sync
end component;
-- intermediate signals between PICT_MEM and VGA_MOTOR