summaryrefslogtreecommitdiffstats
path: root/lab4/VGA_lab.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'lab4/VGA_lab.vhd')
-rw-r--r--lab4/VGA_lab.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/lab4/VGA_lab.vhd b/lab4/VGA_lab.vhd
index 8d3c612..06e0c0c 100644
--- a/lab4/VGA_lab.vhd
+++ b/lab4/VGA_lab.vhd
@@ -53,7 +53,7 @@ architecture Behavioral of VGA_lab is
data_out2 : out std_logic_vector(7 downto 0); -- data out
addr2 : in unsigned(10 downto 0)); -- address
end component;
-
+
-- VGA motor component
component VGA_MOTOR
port ( clk : in std_logic; -- system clock
@@ -66,16 +66,16 @@ architecture Behavioral of VGA_lab is
Hsync : out std_logic; -- horizontal sync
Vsync : out std_logic); -- vertical sync
end component;
-
+
-- intermediate signals between KBD_ENC and PICT_MEM
signal data_s : std_logic_vector(7 downto 0); -- data
signal addr_s : unsigned(10 downto 0); -- address
signal we_s : std_logic; -- write enable
-
+
-- intermediate signals between PICT_MEM and VGA_MOTOR
signal data_out2_s : std_logic_vector(7 downto 0); -- data
signal addr2_s : unsigned(10 downto 0); -- address
-
+
begin
-- keyboard encoder component connection